gentoo.mahdi.cz

  

dev-python:peakrdl-uvm


Generate UVM register model from compiled SystemRDL input
https://github.com/SystemRDL/PeakRDL-uvm

peakrdl-uvm-2.3.0
download~amd64 ~x86pypi