gentoo.mahdi.cz

  

dev-python:pyslang


Python bindings for slang, a library for compiling SystemVerilog
https://github.com/MikePopoloski/pyslang

pyslang-5.0.0MIT
download~amd64 ~x86pypi